Главная      Учебники - Разные     Лекции (разные) - часть 12

 

Поиск            

 

Указания методические ф со пгу 18. 2/05 Министерство образования и науки Республики Казахстан

 

             

Указания методические ф со пгу 18. 2/05 Министерство образования и науки Республики Казахстан

Методические указания

Ф СО ПГУ 7.18.2/05

Министерство образования и науки Республики Казахстан

Павлодарский государственный университет им. С. Торайгырова

Кафедра «Радиотехника и телекоммуникации»

МЕТОДИЧЕСКИЕ УКАЗАНИЯ

к выполнению курсовой работы по дисциплине «Цифровые устройства и основы вычислительной техники» по дисциплине Цифровые устройства и микропроцессоры

для студентов специальности 050719 Радиотехника, электроника и

телекоммуникации всех форм обучения.

Павлодар 2010

1 Основные этапы выполнения курсовой работы по проектированию микропроцессорной информационно - управляющей системы

1.1 Конкретизация технического задания

Задание на курсовую работу формулируется в очень краткой форме. Оно может содержать лишь название и область применения проектируемого устройства. Необходимо конкретизировать условия работы устройства и перечень выполняемых им функций, обосновать разрядность обрабатываемых данных. Определяющим должно быть удобство практической эксплуатации и новый набор качественных характеристик (более высокое быстродействие, точность, новые функциональные возможности) по сравнению с домикропроцессорным вариантом реализации подобного устройства.

Определяется диапазон рабочих температур, конструктивное исполнение (плата, блок или отдельное изделие). Во многих случаях проектируемое устройство наряду с цифровыми может содержать и аналоговые узлы (измерительные усилители, ЦАП, АЦП). И хотя детальной разработке подлежат чисто цифровые узлы, аналоговые блоки и выполняемые ими функции описываются при разработке функциональной схемы проектируемого устройства.

1.2 Разработка структурной схемы устройства, распределение функций между аппаратными и программными средствами

Электрическая структурная схема (код схемы Э1) разъясняет процессы, происходящие в отдельных структурных узлах и в устройстве в целом. Она строится для оптимального варианта проектируемого устройства, который необходимо выбрать из нескольких возможных. На этом этапе однозначно определяется алгоритм работы устройства, функции отдельных цифровых и аналоговых блоков. Выбирается тип микропроцессора. Определяется набор функций, которые удобно реализовать программным путем, и набор функций, требующих для реализации дополнительных цифровых элементов (контроллера клавиатуры/дисплея, программируемого таймера, расширителей ввода/вывода, счетчиков, мультиплексоров и т.п.). Степень детализации должна быть достаточной для того, чтобы на последующих стадиях можно было производить независимую разработку аппаратных и программных средств микропроцессорной системы.

1.3 Разработка схемы алгоритма прикладной программы

Разработке исходного текста прикладной программы на языке ассемблера микроконтроллера предшествует разработка схемы алгоритма этой программы. Используется метод декомпозиции, при котором вся задача последовательно разделяется на меньшие функциональные модули (подпрограммы), каждый из которых можно разрабатывать отдельно от других. Разделение задачи на модули и операторы выполняется последовательно до такого уровня, когда просматривается возможность реализации модуля с помощью нескольких или даже одной команды микропроцессора.

На этом этапе определяется формат внутреннего представления переменных и предварительно распределяются внутренние программно доступные ресурсы микроконтроллера для их реализации (регистры общего назначения, ячейки резидентной памяти данных, флаги пользователя и т.п.).

В самом общем виде структурная схема МПИУС приведена на рисунке 1.1. На ней представлены блок процессора (БП), устройства памяти ОЗУ и ПЗУ, пульт управления (ПУ), устройства ввода-вывода УВ1, УВ2, УВ3, таймер (Т) и устройство сопряжения (УС) с центральной ЭВМ.

БП

ОЗУ

ПЗУ

УС

Системная шина

ПУ

УВ1

УВ2

УВВ

Т

Uimp


Рисунок 1.1 – Условная структурная схема МПИУС

На рисунке 1.1 приняты следующие обозначения:

Ui – аналоговые сигналы;

Xj – цифровые двоичные сигналы;

Yk – одноразрядные управляющие сигналы, подаваемые на исполнительные устройства;

Y4 – 8-разрядный код, преобразуемый ЦАП в аналоговый сигнал.

На выходе таймера формируется сигнал заданной частоты для аварийной сигнализации Uimp . УС с ЭВМ верхнего уровня построено на УСАПП, а УВВ на ППИ.

Пульт управления содержит следующие элементы:

- регистр Рг1 со светодиодами для индикации значения цифрового кода Nu 1 , поступающего со входа АЦП;

- регистр Рг2 со светодиодами для индикации значений двоичных сигналов Xj ;

- регистр Рг3 со светодиодами для индикации значений Yk ;

- регистр Рг3 со светодиодами для индикации кода Y4 ;

- входной 8-разрядный регистр Рг5 для ввода управляющего кода К ;

- светодиод или зуммер для подачи аварийного сигнала с частотой 2 Гц для световой и 500 Гц – для звуковой сигнализации;

- тумблер “Останов”, опрашиваемый в конце каждого цикла выполнения программы;

- кнопку “Сброс” для начальной установки элементов МПС.

Регистры индикации и входной регистр Рг5 подключаются к шине данных (ШД) как внешние устройства.

1.4 Разработка принципиальной электрической схемы устройства с перечнем элементов

Электрическая принципиальная схема (код схемы Э3) определяет полный состав элементов (микросхем, резисторов, конденсаторов и т.д.) и связей между ними. Она служит исходным документом для разработки других конструкторских документов (чертежей печатных плат, сборочных чертежей). Проектируемое устройство, как правило, содержит печатную плату с микросхемами, источники вторичного электропитания и базовый блок, на лицевой панели которого располагаются кнопки, тумблеры, программные переключатели, светодиоды, цифровые индикаторы. Принципиальная схема разрабатывается отдельно для каждого блока (кроме источников питания) и для всего устройства в целом (связи между разъемами).

На принципиальных схемах цифровых интегральных микросхем обычно не изображаются выводы для подключения источников питания. Эти соединения приводятся в текстовой или табличной информации. Условные графические обозначения и линии связей выполняют линиями одной и той же толщины. Утолщенные линии используются для обозначения линий групповой связи.

Латинский алфавит определяет последовательность расположения обозначений в перечне элементов (его код - ПЭ3): конденсаторы (С1, С2, С3...С5), аналоговые микросхемы (DA1, DA2...DA8), цифровые микросхемы (DD1, DD2...DD6), резисторы (R1, R2...R5, R6), полупроводниковые приборы (VD1, VT1...VT3), разъем (XP1).

1.5 Разработка прикладной программы

МПИУС формирует вектор управляющих сигналов {Y}, подаваемых на исполнительные устройства и механизмы, в зависимости от значения вектора {Х}, формируемого аналоговыми и цифровыми датчиками, в соответствии с законом управления, имеющим логический характер. Алгоритм функционирования системы также в самом общем виде представлен на рисунке 1.2.

Блок 1 выполняет начальную установку системы, настройку программируемых БИС, засылку в выходные каналы начальных значений управляющих воздействий и т.д.


Рисунок 1.2 - Алгоритм функционирования МПИУС

Блок 2 анализирует органы пульта управления и переводит МПИУС в нужный режим.

Блок 3 реализует задачу логического управления: принимает информацию двоичных датчиков, вычисляет значение булевой функции в соответствии с заданным выражением и выдает это значение в качестве управляющего сигнала Yi , подаваемого на исполнительные механизмы; обеспечивает прием информации от аналоговых датчиков, ее преобразование в цифровую форму, вычисление управляющих воздействий и выдачу их на исполнительные устройства (ИУ).

Блок 4 проверяет наличие запроса на прерывание от ЭВМ верхнего уровня и от датчика аварийного состояния.

Блок 5 при наличии запроса на обмен передает значения управляющего сигнала на ЭВМ верхнего уровня.

Блок 6 обеспечивает циклический режим управления или останов МПИУС в соответствии с командой, поступающей с ПУ.

1.6. Порядок обработки информации и формирования управляющих сигналов

МПС опрашивает двоичные датчики X1-XN и вычисляет булевую функцию f(l) в соответствии с заданным вариантом. При значении функции, равном 1, система вырабатывает выходной Y1=1 длительностью t1.

Сигналы с аналоговых датчиков U1 и U2 преобразуются в цифровую форму с помощью АЦП: МПИУС, обрабатывая данные АЦП и 8-разрядный код K, поступающий с пульта управления, вычисляет функцию Nu = f(U1,U2,K), сравнивает значение этой функции с константой Q, хранящейся в ПЗУ, и в зависимости от результатов сравнения вырабатывает двоичные управляющие воздействия Y2 и Y3 длительностью t2 и t3 соответственно.

Управляющее воздействие Y4 определяется по формуле:

где a0 и a1 – 8-разрядные коэффициенты, хранящиеся в ПЗУ МПС;

- NU3 – 8-разрядный код, поступающий с выхода АЦП.

Обработка запросов на прерывание осуществляется на четырёх уровнях:

- по сигналу отказа источника питания INT0;

- по сигналу аварийного датчика INT1;

- от терминала ЭВМ верхнего уровня INT2;

- от пульта управления INT3;

Прерывание работы МПИУС при отказе источника питания имеет высший приоритет. При этом система вырабатывает сигнал установки внешних устройств в исходное состояние и передает в последовательный канал связи сигнал YS, представляющий собой два импульса длительностью в 30 мкс, следующих с интервалом в 30 мкс. После выполнения этих действий микропроцессор останавливается.

При поступлении запроса на прерывание от аварийного датчика система должна выполнить следующие действия:

- запомнить в стеке содержимое всех регистров МП;

- включить аварийную сигнализацию: для нечетных вариантов световую с частотой 2 Гц, а для четных – звуковую с частотой 500 Гц;

- выдать на индикацию состояние двоичных датчиков X1- Xn и цифровой код Nu1 , поступающий с АЦП;

- остановить микропроцессор.

Прерывание терминала ЭВМ верхнего уровня осуществляется при приеме последовательным интерфейсом сигнала управления обменом. После его обработки управление передается прерванной программе. При поступлении запроса прерывания с ПУ осуществляется следующее:

- на регистр индикации РИ2 выдаются: последнее значение функции f(x), результат сравнения Nu с константой Q, значения выражения X1 X2 X3 X4, значения выражения X1 +X2 +X3 +X4 ;

- на регистр индикации РИ1 – значение константы Q;

- организуется выход из прерывания на начало программы обработки.

1.7 Варианты функций, выполняемых проектируемой системой

Варианты выполняемых МПИУС функций представлены в таблице 1.1 и 1.2.

Таблица 1.1

Вариант

Функция f(x)

t1 , мкс

Вариант

Функция f(x)

t1 , мкс

1

2

3

4

5

6

7

8

9

10

11

12

13

X1 +X2 *X3 +X4

X1 *X2 *X3 +X4

X1 *X2 +X3 * X4

X1 *X2 +X3 *X4

X1 +X2 +X3 *X4

X1 * X2 *X3 *X4

X1 +X2 +X3 *X4

X1 * X2 +X3 +X4

X1 * X2 +X3 *X4

X1 *X2 + X3 +X4

X1 +X2 + X3 *X4

X1 +X2 +X3 +X4

X1 *X2 *X3 *X4

30

20

60

100

40

120

80

90

110

150

20

30

50

14

15

16

17

18
19

20

21

22

23

24

25

X1 +X2 +X3 +X4

X1 *X2 *X3 +X4

X1 *X2 +X3 +X4

X1 +X2 +X3 *X4

X1 *X2 +X3 +X4

X1 +X2 +X3 +X4

X1 *X2 *X3 *X4

X1 +X2 *X3 *X4

X1 +X2 *X3 +X4

X1 *X2 +X3 *X4

X1 *X2 *X3 +X4

X1 +X2 +X3 *X4

130

90

10

140

150

160

50

90

110

80

70

30

Таблица 1.2

Вариант

t2 ,t3 , мс

Функция

Вариант

t2 ,t3 ,мс

Функция

1

2

3

4

5

6

7

8

9

10

11

12

13

20,20

30,20

40,20

20,60

100,50

50,20

20,120

60,30

10,70

30,110

110,30

70,10

110,150

Nu 1 +Nu 2 +k

Мин(Nu 1 ; Nu 2 +k)

Макс(Nu 1 ; Nu 2 +k)

Мин(Nu 1 ; Nu 2 –k)

Макс(Nu 1 ; Nu 2 –k)

Nu 1 +Nu 2 -k

Мин(Nu1 ; Nu2 )

Nu1 -Nu2 -k

Мин(Nu1 +k ; Nu2 )

Макс(Nu1 +k ; Nu2 )

Мин(Nu1 -k ; Nu2 )

Макс(Nu1 -k ; Nu2 )

Nu2 -Nu1 +k

14

15

16

17

18

19

20

21

22

23

24

25

90,10

50,110

30,120

120,30

40,200

60,40

50,60

40,60

60,70

70,80

80,70

130,20

Nu 1 -Nu 2 –k

Мин(Nu 1 ; Nu 2 +k)

Макс(Nu 1 ; Nu 2 +k)

Мин(Nu 1 ; Nu 2 –k)

Макс(Nu 1 ; Nu 2 –k)

Nu 1 +Nu 2 +k

Nu2 -Nu1 +k

Мин(Nu1 +k ; Nu2 )

Макс(Nu1 +k ; Nu2 )

Мин(Nu1 -k ; Nu2 )

Макс(Nu1 -k ; Nu2 )

Nu1 -Nu2 -k

В соответствии с ними необходимо составить алгоритмы и подпрограммы обработки данных на языке Ассемблера микропроцессора К580 и вместе с подпрограммами обслуживания запросов на прерывание включить их в общую программу функционирования системы.

1.8 Обслуживание прерываний

Для обслуживания прерываний в МПИУС предназначен программируемый контроллер прерываний (ПКП) БИС К580ВН59. Запись и хранение запроса прерывания (ЗПР) в соответствующий регистр ПКП осуществляется при изменении уровней напряжения с низких на высокие на входах IRQ 0 - IRQ 7. Содержимое этого регистра может быть считано на шину данных.

Уровень приоритета ЗПР осуществляется специальной схемой маскирования запросов, определяющей порядок их обслуживания и разрешающей устройству управления ПКП выдачу сигнала INT (прерывания) для микропроцессора.

После получения со стороны МП сигнала подтверждения прерывания INTA ПКП вырабатывает начальный адрес подпрограммы обслуживания того устройства, которое вызвало выдачу сигнала INT. Это осуществляется путем посылки в МП 3-байтовой команды CALL (B2),(B3).

В процессе работы ПКП можно изменять алгоритмы обслуживания прерываний с помощью системы команд инициализации и операций, записываемых в соответствующие регистры контроллера. Указанная система команд позволяет закреплять приоритеты за внешними устройствами как статическими, так и динамическими.

Наибольшим уровнем приоритета обладает вход IRQ 0, а самым низким – вход IRQ 7, называемый дном приоритетного кольца. Задавая положение дна, можно однозначно определить уровень приоритета каждого входа БИС.

Программирование ПКП заключается в последовательном занесении слов команд инициализации (СКИ) и команд операций (СКО), задающих структуру блока приоритетных прерываний и адресный интервал между начальными командами программ обслуживания и устанавливающих режимы маскирования.

После загрузки СКИ контроллер находится в исходном состоянии, когда регистры запросов (РЗПР) и обслуживания (РОПР) прерываний очищены, а самый младший приоритет присвоен входу IRQ 7 .

При поступлении одного или нескольких запросов в соответствующие разряды РЗПР устанавливаются в состояние логической 1. Контроллер выявляет сигнал, имеющий наибольший приоритет, и вырабатывает запрос прерываний INT микропроцессору. Системный контроллер с согласия МП дает сигнал разрешения прерываний INTA. Контроллер, установив в 1 бит РОПР, выставляет на ШД код операции вызова и байты адреса подпрограммы обслуживания запроса, определяемого СКИ. [17].

После выполнения подпрограммы необходимо произвести возврат к прерванной программе по команде RET.

1.9 Разработка программного обеспечения

Разработка программного обеспечения (ПО) включает в себя составление схем алгоритмов в соответствии с техническим заданием, программных модулей, реализующих данные алгоритмы на языке Ассемблера.

При размещении программных модулей в ПЗУ необходимо помнить, что сигнал начальной установки МП сбрасывает программный счетчик в нуль, и программа всегда начинается с нулевого адреса. Переход на начало программы должен осуществляться по команде безусловного перехода.

В работе необходимо разработать следующие программные модули:

- логической обработки сигналов Xi с двоичных датчиков и формирование управляющего сигнала Yi;

- чтение данных с АЦП и формирование сигналов Y2 Y3 Y4;

- вывод данных на ЦАП;

- настройки интерфейсов, контроллера прерываний, таймера;

- обработки запросов прерываний;

- обслуживание пульта управления.

При алгоритмизации задач обработки данных необходимо пользоваться следующими правилами:

- при составлении алгоритма целесообразно применять метод последовательной (поэтапной) детализации;

- алгоритм должен строиться по модульному принципу;

- схема алгоритма должна составляться из ограниченного числа типовых структур.

Метод последовательной детализации предполагает получение алгоритма в виде многоэтапного процесса, в котором на каждом из этапов составляется алгоритм или отдельные его части с различной степенью детализации. Так, на начальном этапе составляется укрупненный алгоритм, который в последствии при уточнении задач и данных детализируется до уровня математических операций.

Применение модульного принципа предполагает построение схемы алгоритма в виде отдельных, независимых модулей. В состав модуля входят части алгоритма, удовлетворяющие требованиям функциональной законченности, минимальной сложности и представимости в форме блока, имеющего один вход и один выход.

Функциональная законченность означает, что в состав модуля должна включаться некоторая законченная в смысловом и функциональном отношениях часть общего алгоритма. Выделение таких частей позволяет проводить их параллельную обработку и упрощает контроль правильности их составления. При обнаружении ошибки корректируется только отдельный модуль, а не весь алгоритм.

Представление модулей в виде блоков с одним входом и одним выходом позволяет просто увязать модули в одну схему, которая будет отражать алгоритм функционирования всей системы.

Правила структурирования алгоритмов, их детализации и программирования на языке Ассемблера изложены в [2, 3].

Микроконтроллер решает стоящие перед ним задачи управления объектами в реальном масштабе времени. С целью обеспечения максимального быстродействия прикладные программы разрабатываются на языке ассемблера. По сравнению с программами, подготовленными на алгоритмических языках высокого уровня, они после трансляции требуют также меньшего объема памяти программ. Наряду с командами используются директивы ассемблера: ORG, EQU, DB, END.

Для трансляции исходных программ, предварительно записанных на диск ЭВМ с помощью любого текстового редактора, используют пакет программ (ассемблер). В процессе преобразования программы в объектную ассемблер может выявить синтаксические ошибки, связанные с несоблюдением правил записи команд. После исправления ошибок вызывают редактор связей (линковщик) для создания файла формата .hex фирмы Intel, который непосредственно используется программатором для записи программы в память программ или для отладки программы с помощью эмулятора. Отладка позволяет обнаружить смысловые ошибки, не позволяющие программе выполнить функции, заложенные разработчиком. Не всегда на эмуляторе можно проверить всю программу. В этом случае проверяются отдельные подпрограммы или фрагменты программы. Необходимо помнить, что скорость реализации команд на эмуляторе значительно меньше, чем в реальном масштабе времени (иногда в 1000 раз). Поэтому подпрограммы временной задержки нужно модифицировать или вообще исключить из программы, тестируемой с помощью эмулятора.

Конечным продуктом разработки прикладной программы является ее листинг (файл с расширением .lst) и карта прошивки ПЗУ.

1.10 Оформление и защита работы

Выполненная оформляется в виде пояснительной записки объемом 15-20 страниц и принципиальных схем, которые при небольшом формате могут быть помещены в конце пояснительной записки. При оформлении необходимо соблюдать требования и правила, оговоренные в стандарте вуза по оформлению курсовых работ. Примеры оформления элементов пояснительной записки приведены в приложениях А...Г. Подчеркнем, что введение и заключение являются необходимыми разделами пояснительной записки.

Электрическая принципиальная схема и перечень элементов к ней считаются отдельными документами, вшитыми в пояснительную записку. Шестизначный код классификационной характеристики разрабатываемого изделия определяется по классификатору ЕСКД (например, Р1РТ.468481.001 – для РТ дневной формы обучения, М1РТ.464432.002 – для МКТС). Три последние цифры обозначения (после точки) должны соответствовать номеру задания, например 008 для варианта 8. Примеры оформления принципиальной схемы и перечня элементов к ней приведены в приложениях Д, И.

Конечным продуктом разработки прикладной программы является ее листинг, формируемый с помощью ассемблера.

Отладка прикладной программы производится с помощью эмуляторов. Для работы с ними полезно воспользоваться рекомендациями, приведенными в приложении К.

Выполненная после предварительной проверки ведущим преподавателем регистрируется на кафедре радиотехники и предоставляется к защите.

2 А льтернативные варианты заданий на курсовую работу

001. Спроектировать многоканальную систему регулирования температуры в теплице. Включает восемь датчиков температуры и нагревателей. Значение стабилизируемой температуры задается в диапазоне от 10 до 40°С. Индикация выходных сигналов на включение нагревателей - с помощью линейки светодиодов. На цифровое табло вывести температуру объекта, номер которого набран на программном переключателе.

002. Спроектировать измеритель частоты сети с точностью до десятых долей герца при времени измерения не более одной секунды. Информация должна дублироваться на выносном табло, связь с которым осуществляется с помощью трехпроводной линии связи.

003. Разработать устройство охранной сигнализации. Число охраняемых объектов - до 64. Устройство должно сохранять свою работоспособность при выключении сети. При нажатии кнопки «Запрос» на цифровые индикаторы последовательно выводятся номера объектов, в которых возникал сигнал «Тревога».

004. Разработать электронный орган. Должен позволять воспроизводить простейшие мелодии с помощью клавиатуры (две октавы). Режимы работы - игровой и демонстрационный.

005. Спроектировать устройство контроля интенсивности движения через мост. По запросу внешнего устройства выводит на цифровые индикаторы час пик и количество автомобилей, прошедших через мост в этот час.

006. Спроектировать устройство управления звонком на занятия. Должно реализовать реальную сетку расписания школьных звонков, индикацию текущего времени.

007. Спроектировать устройство для измерения потребляемой электроэнергии в любой сети постоянного тока (до 10000 кВтч).

008. Спроектировать электронные весы. Фиксируют сначала вес тары (банки под сметану или растительное масло), а затем чистый вес продукта и его стоимость.

009. Разработать цифровой автомобильный спидометр (три десятичных разряда).

010. Частота импульсов на выходе генератора в герцах от 1 до 99 должна быть равна числу на программном переключателе и отображаться на цифровых индикаторах. Длительность импульсов - 100 мкс.

011. Спроектировать генератор пачек импульсов, следующих с частотой 1 Гц. Частота импульсов в пачке 1 кГц, число импульсов в пачке (от 1 до 100) набирается на лимбах программного переключателя и ото-бражается на цифровых индикаторах. Длительность импульса - 100 мкс.

012. Спроектировать измеритель частоты вращения ротора двигателя. Диапазон измерения (100-10000 об/мин). Импульсный датчик вырабатывает 96 импульсов за каждый оборот. Время измерения - не более трех оборотов ротора.

013. Разработать электронное устройство управления инкубатором. Точность задания и стабилизации температуры - 0,1 ОС. Через каждый час обеспечить изменение положения яиц путем поворота на 45О. Предусмотреть цифровую индикацию температуры. Для аналого-цифрового преобразования сигнала использовать метод двухтактного интегрирования.

014. Спроектировать цифровой спидометр для ГАИ. Контролируемая скорость автомобиля - до 200 км/ч.

015. Спроектировать устройство цифровой индикации числа оборотов двигателя автомобиля «Москвич» (шкала до 3000 об/мин) и угла замкнутого состояния контактов прерывателя-распределителя.

016. Спроектировать многоканальную систему регулирования температуры в теплице. Включает четыре датчика температуры и нагревателя. Значение стабилизируемой температуры задается в диапазоне от 10 до 40 ОС. Индикация выходных сигналов на включение нагревателей - с помощью линейки светодиодов. Для аналого-цифрового преобразования использовать метод двухтактного интегрирования.

017. Разработать электронный таймер с индикацией в режиме обратного счета установленного времени в часах, минутах и секундах. В течение заданного временного отрезка должен быть включен исполнительный элемент (нагреватель).

018. Спроектировать устройство контроля интенсивности движения автомобилей по автомагистрали. На цифровые индикаторы выводится текущее время и количество автомобилей, прошедших через магистраль с начала суток.

019. Разработать устройство охранной сигнализации квартир одного подъезда многоэтажного дома. Число охраняемых объектов - до 16.

020. Разработать электронный экзаменатор. Должен фиксировать оценку после ответа на 10 вопросов билета. Число билетов - 100.

021. Спроектировать шахматные электронные часы для блицтурнира.

022. Спроектировать устройство управления гудком на заводе. Должно реализовать реальную сетку расписания смен, обеденных перерывов, индикацию текущего времени.

023. Спроектировать электронные весы. Фиксируют вес и стоимость расфасованной порции продукта.

024. Разработать цифровой автомобильный измеритель угла замкнутого состояния контактов прерывателя и угла опережения зажигания.

025. Частота импульсов на выходе генератора в герцах от 10 до 999 должна быть равна числу на программном переключателе и отображаться на цифровых индикаторах. Длительность импульсов - 10 мкс, уровень - ТТЛ.

026. Спроектировать генератор пачек импульсов, следующих с частотой 10 Гц. Частота импульсов в пачке 10 кГц, число импульсов в пачке (от 1 до 100) набирается на лимбах программного переключателя и отображается на цифровых индикаторах. Длительность импульса - 10 мкс.

027. Спроектировать счетчик потребляемой тепловой энергии.

028. Разработать часы электронные со звуковым сигналом.

029. Разработать устройство управления СВЧ-печью (часы с таймерами).

030. Разработать светофор со временем зеленого света, пропорциональным интенсивности движения автомобилей через магистраль.

Приложение А

(справочное)

Пример оформления титульного листа курсовой работы


Министерство науки и высшего образования

Республики Казахстан

Северо-Казахстанский университет

Кафедра радиотехники

МИКРОПРОЦЕССОРНАЯ ИНФОРМАЦИОННО-

УПРАВЛЯЮЩАЯ СИСТЕМА

Пояснительная записка к курсовой работе по дисциплине

«Цифровые устройства и основы вычислительной техники»

Р1РТ. ХХХХХХ.001 ПЗ

Студент группы РТ-97

Иванов А.П.

15.05.00

Руководитель проекта

ст. преподаватель кафедры РТ

Еднерал А.Р.

2000

Приложение Б

(справочное)

Пример задания на курсовую работу


Министерство науки и высшего образования

Республики Казахстан

Северо-Казахстанский университет

Кафедра радиотехники

ЗАДАНИЕ

на курсовую работу по дисциплине

«Цифровые устройства и основы вычислительной техники»

студенту __ Иванову А.П. __________________________________

группа ____ РТ-97 ____________ факультет _______ МСФ _______

Тема проекта: ___ Микропроцессорное устройство управления ___

_________________________ дорожным светофором _____________

Исходные данные к проекту: __________________________________

1) перекресток главной и второстепенной дорог _______________

2) с 0 до 6 час - режим нерегулируемого перекрестка _____________

3) ночью при нажатии кнопки СТОП обеспечить ________________

_____ безопасный переход главной дороги пешеходом _________

Содержание пояснительной записки (перечень подлежащих разработке вопросов): ________________________________________

выбор микроконтроллера, обоснование функциональной схемы, разработка полной принципиальной схемы устройства с перечнем элементов и листинга управляющей программы _______________

Перечень графического материала (с точным указанием

обязательных чертежей и схем): _______________________________

_____ схема электрическая принципиальная - 1 лист _____________

Дата выдачи задания: ________________________________________

Руководитель

ст. преподаватель кафедры РТ __________________ Еднерал А.Р. (подпись)

Задание принял к исполнению ____________________

(дата)

__________ (подпись студента)

Приложение В

(справочное)

Пример оформления содержания

4

4

5

7

9

9

11

13

14

15

16

19

20

СОДЕРЖАНИЕ

1 Введение ...................................................................................

2 Конкретизация технического задания ....................................

3 Разработка функциональной схемы .......................................

4 Разработка схемы алгоритма прикладной программы .........

5 Разработка принципиальной схемы .......................................

5.1 Схема на микроконтроллере с внешней памятью

команд ...................................................................................

5.2 Схема на микроконтроллере с резидентной

программной памятью ........................................................

6 Разработка управляющей программы ...................................

7 Заключение ..............................................................................

Список использованных источников .........................................

Приложение А. Листинг управляющей программы ..................

Р1РТ. ХХХХХХ.001 Э3 Плата микроконтроллера. Схема

электрическая принципиальная......

Р1РТ.ХХХХХХ.001 ПЭ3 Плата микроконтроллера.

Перечень элементов.........................

Приложение Г

(справочное)

Пример оформления разделов пояснительной записки


T0 SMC PME

P0

T1

INT1 ALE

RST

P1

VPP

BQ1

BQ2

P2

OV

E

INT0

мин

OE ROM

D

A

A8

A9

A10

CS

S1

ч 8

S2


стоп 8

S3


10.0

+5 В


3

8.2 к


3


30 пФ

DC


30 пФ 4 7


4 7


+5 В


Рисунок 3.1 - Функциональная схема контроллера




Инициализация


да Значение времени нет

от 6 до 24 ч?


п/п прерывания да Кнопка нет

ЧАСЫ СТОП

Возврат нажата?


цикл

СТОП



Рисунок 6.1 - Схема алгоритма прикладной программы



Приложение А

(обязательное)

Листинг управляющей программы

1 ; Начальная установка и запуск часов в 6.00

3 0000 ORG 00H

4 0000 7B06 MOV R3,#6 ; Начальная загрузка

5 0002 8BA0 MOV P2,R3 ; счетчика часов

6 0004 7D00 MOV R5,#0 ; Начальная загрузка

7 ; счетчика минут

8 0006 7864 MOV R0,#100 ; Начальная загрузка

9 0008 7964 MOV R1,#100 ; счетчиков генератора

10 000A 7A3C MOV R2,#60 ; минутных импульсов

11 000C 758D9C MOV TH1,#156 ;

12 000F 758920 MOV TMOD,#20H ; Т/С1 в режиме 2

13 0012 75A888 MOV IE,#88H ; Разрешение

14 ; прерываний от Т/С1

15 0015 D28E SETB TR1 ; Старт таймера Т/С1

16 0017 803C SJMP DAY ; Переход к основной

17 ; программе

19 ; Подпрограмма обслуживания прерываний

21 001B ORG 1BH ; Вектор прерывания

22 001B D837 JNZ R0,EXIT ; Задержка в одну

23 001D 7864 MOV R0,#100 ; секунду

24 001F D933 DJNZ R1,EXIT

25 0021 7964 MOV R1,#100

26 0023 30B407 JNB T0,M1 ; Коррекция минут

27 0026 30B50E JNB T1,M2 ; Коррекция часов

28 0029 DA1D DJNZ R2,M5 ; Счетчик секунд

29 002B 7A3C MOV R2,#60

30 002D ED M1: MOV A,R5 ; Счетчик минут

31 002E 2401 ADD A,#1

32 0030 D4 DA A

33 0031 FD MOV R5,A

34 0032 BD600E CJNE R5,#60H,M4

35 0035 7D00 MOV R5,#0

36 0037 EB M2: MOV A,R3 ; Счетчик часов

37 0038 2401 ADD A,#1

38 003A D4 DA A

39 003B FB MOV R3,A

40 003C BB2402 CJNE R3,#24H,M3

41 003F 7B00 MOV R3,#0

42 0041 8BA0 M3: MOV P2,R3 ; +1 час

43 0043 20B402 M4: JB T0,M5

44 0046 8DA0 MOV P2,R5 ; +1 минута

45 0048 C2D5 M5: CLR F0 ; F0=0,

46 004A BB0600 CJNE R3,#6,$+3 ; если меньше

47 004D 4002 JC M6 ; 6 часов,

48 004F D2D5 SETB F0 ; иначе F0=1

49 0051 1E M6: DEC R6 ; -1 секунда

50 0052 B2B2 CPL INT0 ; Инверсия бита

51 0054 32 EXIT: RETI ; Возврат из п/п

52 ; Дневной режим работы светофора

53

54 0055 30D532 DAY: JNB F0,NIGHT ; Программа ДЕНЬ

55 0058 759021 MOV P1,#100001B

56 005B 7E1E MOV R6,#30

57 005D BE00FD CJNE R6,#0,$

58 0060 759000 MOV P1,#000000B

59 0063 7E01 MOV R6,#1

60 0065 BE00FD CJNE R6,#0,$

61 0068 759011 MOV P1,#010001B

62 006B 7E05 MOV R6,#5

63 006D BE00FD CJNE R6,#0,$

64 0070 75900C MOV P1,#001100B

65 0073 7E14 MOV R6,#20

66 0075 BE00FD CJNE R6,#0,$

67 0078 759000 MOV P1,#000000B

68 007B 7E01 MOV R6,#1

69 007D BE00FD CJNE R6,#0,$

70 0080 75900A MOV P1,#001010B

71 0083 7E05 MOV R6,#5

72 0085 BE00FD CJNE R6,#0,$

73 0088 80CB SJMP DAY

74

75 ; Ночной режим работы светофора

76

77 008A 20D5C8 NIGHT: JB F0,DAY ; Программа НОЧЬ

78 008D 30B30D JNB INT1,STOP ; Проверка кнопки

79 0090 20B205 JB INT0,M7

80 0093 759000 MOV P1,#000000B

81 0096 80F2 SJMP NIGHT

82 0098 759012 M7: MOV P1,#010010B

83 009B 80ED SJMP NIGHT

84 009D 75900C STOP: MOV P1,#001100B ; Программа СТОП

85 00A0 7E14 MOV R6,#20

86 00A2 BE00FD CJNE R6,#0,$

87 00A5 80E3 SJMP NIGHT

88 00A7 END
Число байт: 165 Программа "Светофор"

Контрольная сумма: 2F1E

Приложение Д

(справочное)

Пример оформления электрической принципиальной схемы


DD1 DD2 HG1

DD3 HG2

а

C3

VT1

а

R1

S1

S2

Приложение И

(справочное)

Пример оформления перечня элементов для электрических принципиальных схем


Приложение К

(справочное)

Отладка прикладных программ

К.1 С помощью любого текстового редактора готовится исходный

текст программы на языке ассемблера, например, PROG.ASM.

К.2 Микроконтроллер семейства МК48

x8748 - вызов ассемблера фирмы 2500 A.D.

Listing destination: D

Generate Cross Reference?: <Enter>

Input Filename: PROG

Output Filename: <Enter>

В результате будет создан листинг программы PROG.LST и

объектный файл PROG.OBJ.

link - вызов редактора связей (линкера)

Input filename: PROG

Enter offset for 'CODE': 0

Input filename: <Enter>

Output filename: <Enter>

Library filename: <Enter>

Options: H

На диске будет создан файл PROG.HEX

avsim48 -c1 a - вызов эмулятора

Lоаd Avoset - загрузка программы

Enter filename: PROG.HEX

К.3 Микроконтроллер семейства МК51

a8051 - вызов ассемблера

sourse file [.msa/s03]= PROG.ASM

list file [.lst]= PROG

object file= <Enter>

options= <Enter>

Будут сформированы файлы PROG.LST и PROG.R03

xlink -c8051 PROG -o PROG.HEX

Редактор связей сформирует файл PROG.HEX

avsim51 -c1 a - вызов эмулятора

Load Avoset

Enter filename: PROG.HEX

Приложение Л

Обозначения функций микросхем

Подгруппа и вид ИМС

по функциональному

назначению

Обозначение

Подгруппа и вид ИМС

по функциональному

назначению

Обозначение

Формирователи:

импульсов прямоугольной

формы

прочие

Схемы вычислительных

средств:

контроллеры

микропроцессоры

специализированные

Генераторы:

прямоугольных сигналов

гармонических сигналов

Детекторы:

амплитудные

фазовые

прочие

Схемы источников

вторичного электропитания:

выпрямители

стабилизаторы напряжения

импульсные

стабилизаторы напряжения

непрерывные

Схемы цифровых устройств:

АЛУ

шифраторы

дешифраторы

счетчики

комбинированные

полусумматоры

сумматоры

прочие

регистры

Коммутаторы и ключи:

напряжения

прочие

АГ

АП

ВВ

ВМ

ВЖ

ГГ

ГС

ДА

ДФ

ДП

ЕВ

ЕК

ЕН

ИА

ИВ

ИД

ИЕ

ИК

ИЛ

ИМ

ИП

ИР

КН

КП

Логические элементы:

И–НЕ

И–НЕ/ИЛИ–НЕ

расширители

ИЛИ–НЕ

И

Модуляторы:

амплитудные

прочие

Преобразователи:

цифроаналоговые

аналого-цифровые

код-код

Схемы запоминающих

устройств:

ПЗУ (масочные)

ОЗУ

ПЗУ с УФ-стиранием

Схемы сравнения:

по напряжению

Триггеры

типа JK (универсальные)

типа D (с задержкой)

типа RS

типа Т (счетные)

Усилители:

операционные

импульсных сигналов

низкой частоты

высокой частоты

Многофункциональные схемы:

аналоговые

цифровые

комбинированные

прочие

ЛА

ЛБ

ЛД

ЛЕ

ЛИ

МА

МП

ПА

ПВ

ПР

РЕ

РУ

РФ

СА

ТВ

ТМ

ТР

ТТ

УД

УИ

УН

УВ

ХА

ХЛ

ХК

ХП

Приложение М

Пример обозначения интегральной микросхемы

Условное обозначение микросхемы состоит из трех- или четырехзначного обозначения серии микросхем, двух букв, означающих подгруппу и вид микросхемы, и порядкового номера разработки микросхемы.

Иногда в конце условного обозначения добавляется буква, определяющая технологический разброс электрических параметров данного типономинала. Буквы (необязательные) К, КМ, КН, КР, и КА, стоящие в начале условного обозначения микросхемы, характеризуют условия ее приемки на заводе-изготовителе, причем буква К обозначает микросхемы широкого применения.


Приложение Н

Условное графическое обозначение микросхем


УГО элементов (узлов) аналоговой и цифровой техники строят на основе прямоугольника. В самом общем виде УГО может содержать основное и два дополнительных поля, расположенных по обе стороны от основного. Размер прямоугольника по ширине зависит от наличия дополнительных полей и числа помещенных в них знаков, по высоте – от числа выводов, интервалов между ними и числа строк информации в основном и дополнительных полях. В основном поле указывают функциональное назначение элемента, а в дополнительных – метки, обозначающие функции или назначение выводов. В местах присоединения линий-выводов изображают специальные знаки (указатели), характеризующие их особые свойства (инверсные, динамические и т.д.). Группы выводов могут быть разделены увеличенным интервалом или помещены в обособленную зону. Согласно стандарту, ширина основного поля должна быть не менее 10 мм, дополнительных – не менее 5 мм, расстояние между выводами – 5 мм.

Выводы элементов схемы делятся на входы, выходы, двунаправленные выводы (служат как для ввода, так и для вывода информации) и выводы, не несущие информации (например, для подключения питания, внешних RC -цепей и т.п.). Входы изображают слева, выходы – справа, остальные выводы – с любой стороны УГО. При необходимости разрешается поворачивать обозначение на угол 90° по часовой стрелке, т.е. располагать входы сверху, а выходы снизу.

Литература

1. Коффрон Дж. Технические средства микропроцессорных систем. Практический курс. Пер. с англ., -М.: Мир, 1983, -344с.

2. Микропроцессоры. В 3-х кн. Кн.1. Архитектура и проектирование микро-ЭВМ. Организация вычислительных процессов. Учебник для ВТУЗов. /П.В. Нестеров, В.Ф. Шаньгин, В.Л. Горбунов и др. Под ред. Л.Н. Преснухина. -М.: Высш. шк., 1986, -495с.

3. Коффрон Дж., Лонг В. Расширение микропроцессорных систем. Пер. с англ. Под ред. П.В. Нестерова. -М.; Машиностроение, 1987, -320с.

4. Левенталь Л., Сэйвилл У. Программирование на языке Ассемблера для микропроцессоров 8080 и 8085. Пер. с англ. -М.: Радио и связь, 1987, 448с.

5. Самофалов К.Г. и др. Микропроцессоры. /К.Г. Самофалов, О.В. Викторов, А.К. Кузняк. -К.:Техника, 1986, -278с.

6. Фридмен М., Ивенс Л. Проектирование систем с микрокомпьютерами. Пер. с англ. -М.: Мир, 1986, -405с.

7. Кеннет Дж. Данхоф, Кэрол Л. Смит. Основы микропроцессорных вычислительных систем. Пер. с англ. А.А. Савельева, Ю.В. Сальникова. -М.: Высш. шк., 1986, -288с.

8. МикроЭВМ. В 8 кн. Практ. пособие. /Под ред. Л.Н. Преснухина. Кн. 3. Семейство ЭВМ "Электроника К-1" /А.В. Кобылинский, А.В. Горячев, Н.Г. Сабадаш, В.В. Проценко. -М.: Высш. шк., 1988, -191с.

9. Проектирование микропроцессорной электронно-вычислительной аппаратуры: Справочник. /В.Г. Артюхов, А.А. Будняк, В.Ю. Лапий и др -К.: Техника, 1988, -263с.

10. Гуртовцев А.Л., Гудыменко С.В. Программы для микропроцессоров.: Справочное пособие. -Мн.: Высш. шк. ,1989, -352с.

11. Морисита И. Аппаратные средства микроЭВМ. Пер. с япон. -М.: Мир, 1988, -280с.

12. Казаринов Ю.М., Номоконов В.Н., Филиппов Ф.В. Применение микропроцессоров и микроЭВМ в радиотехнических системах. Учебное пособие для радиотехнических спец. ВУЗов. -М.: Высш. шк., 1988. -207с.

13. Басманов А.С., Широков Ю.Ф. Микропроцессоры и однокристальные микроЭВМ: Номенклатура и функциональные возможности. /Под ред. В.Г. Домрачева. -М.: Энергоатомиздат, 1988, -128с.

14. МикроЭВМ: в 8-ми кн., практ. пособие. /Под ред. Л.Н. Преснухина. Кн.5. Персонально-профессиональные ЭВМ. /Г.П. Лопато, М.Е. Неменман, В.Я. Пыхтин, В.Н. Тикменов. -М.: Высш. шк., 1988, -143с.

15. Белов А.М. и др. Средства автоматизации программирования микропроцессорных устройств. /А.М. Белов, Е.А. Иванов, Л.Л. Муренко. Под ред. В.Г. Домрачева. М.: Энергоатомиздат, 1988, -120с.

16. Домнин С.Б. и др. Средства комплексной отладки микропроцессорных устройств. /С.Б. Домнин, Е.А. Иванов, Л.Л. Муренко. Под ред. В.Г. Домрачева. -М.: Энергоатомиздат, 1988, -145с.

17. Одноплатные микроЭВМ. /В.Г. Домрачев, С.Н. Иванов, А.Ф. Романов, Ю.Н. Чернышев; Под ред. В.Г. Домрачева. -М.: Энергоатомиздат, 1988, -128с.

18. Дaо Л. Программирование микропроцессора 8088. Пер. с англ. -М.: Мир, 1988, -357с.

19. Исида Х. Программирование для микрокомпьютеров. Пер. с япон. -М.: Мир, 1988, -224с.

20. Морс С.П., Алберт Д.Д. Архитектура микропроцессора 80286. Пер. с англ. -М.: Радио и связь, 1990, -304с.

21. Брамм П., Брамм Д. Микропроцессор 80386 и его программирование: Пер. с англ. -М.: Мир, 1990, -448с.

22. Шагурин И.И., Бродин В.Б., Мозговой Г.П. 80386: Описание и система команд. -М.: МП "Малип", 1992, -160с.

23. Смит Б.Е., Джонсон М.Т. Архитектура и программирование микропроцессора INTEL 80386. /Пер. с англ. В.Л. Григорьева. -М.: Конкорд, 1992,-334с.

24. Шарапов А.В. Цифровая и микропроцессорная техника: Учебное пособие для вузов. - Томск: Изд-во Том. ун-та, 1991. - 148 с.

25. Шарапов А.В. Цифровая и микропроцессорная техника: Учебное пособие. 2-е изд., перер. и доп. - Томск: Изд-во Том. ун-та, 1997. - 108 с.

26. Бирюков С.А. Применение цифровых микросхем серий ТТЛ и КМОП. - М.: ДМК, 2000. - 240 с.

27. Пухальский Г.И., Новосельцева Т.Я. Проектирование дискретных устройств на интегральных микросхемах: Справочник. - М.: Радио и связь, 1990. - 304 с.

28. Лебедев О.Н. Микросхемы памяти и их применение. - М.: Радио и связь, 1990. - 160 с.

29. Сташин В.В., Урусов А.В., Мологонцева О.Ф. Проектирование цифровых устройств на однокристальных микроконтроллерах. - М.: Энергоатомиздат, 1990. - 224 с.

30. Боборыкин А.В., Липовецкий Г.П., Литвинский Г.В. и др. Однокристальные микроЭВМ: Справочник. - М.: БИНОМ, 1994. - 400 с.

31. Гуртовцев А.Л., Гудыменко С.В. Программы для микропроцессоров: Справ. пособие. - Минск: Вышэйш. шк., 1989. - 352 с.

32. Калабеков Б.А., Мамзелев И.А. Цифровые устройства и микропроцессорные системы: Учебник для техникумов связи. - М.: Радио и связь, 1987. - 400 с.

33. Микропроцессоры и микроЭВМ в системах автоматического управления: Справочник/ С.Т.Хвощ, Н.Н.Варлинский, Е.А.Попов; Под общ. ред. С.Т.Хвоща. - Л.: Машиностроение, 1987. - 640 с.